Hlavní navigace

Excavator a Carrizo: AMD odhalilo detaily architektury nového APU

24. 2. 2015

Sdílet

Zdroj: Redakce

Je tomu něco přes rok, co AMD uvedlo své poslední „velké“ APU, čip Kaveri založený na architektuře Steamroller. Následník trůnu s názvem Carrizo byl oznámen v listopadu, tehdy však ještě bez jakýchkoli technických podrobností. Dnes ovšem AMD oponu částečně zvedlo a v rámci konference ISSCC 2015 odhalilo některé novinky, které nová generace APU slibuje.

Na první pohled by se dalo čekat, že Carrizo nebude nějak velkým pokrokem proti Kaveri, jelikož používá stejný 28nm výrobní proces, stejný počet procesorových jader a stream procesorů v GPU. AMD ale přesto slibuje netriviální pokroky: výdrž na baterii i výkon se mají zvýšit o víc jak 10 %. Carrizo bude mít proti Kaveri navíc integrovanou čipovou sadu, která spolu s dalšími úpravami zvýšila počet tranzistorů v čipu na 3,1 miliardy z 2,41 miliardy udávaných pro Kaveri. Procesor přitom má mít prakticky stejnou plochu (250 proti 245 mm²), což je životně důležité z hlediska výrobních nákladů.

AMD odhaluje detaily APU Carrizo (ISSCC 2015)
AMD odhaluje detaily APU Carrizo (ISSCC 2015)

Zde bychom asi měli stručně zrekapitulovat, jak bude Carrizo zhruba vypadat. Tento rok se na trh chystá jen mobilní verze pro notebooky s TDP mezi 15 a 35 W (v čemž je ale i čipset). APU se proto objeví jen v provedení BGA. Čip ponese čtyři jádra nové architektury Excavator a integrované GPU s 512 stream procesory. Veledůležitý řadič pamětí je nadále dvoukanálový a vedle DDR3 by měl být připraven i na DDR4. Těžko ale říct, zde je výrobci notebooků použijí – náklady tomu nejspíš zabrání. Čip také jako první u AMD přinese plnou akceleraci videa ve formátu HEVC.

 

Bagr, vrchol evoluce (Bulldozeru)

Pro dlouhodobé fanoušky AMD je asi nejvíce očekávanou komponentou Carriza nové procesorové jádro (či chcete-li, modul) Excavator – dle neoficiálních informací definitivní výhonek na rodokmenu architektur pojmenovaných po stavebních strojích. Bulldozer a jeho potomci jsou obvykle považovány na žravá a neefektivní CPU, ovšem u Excavatoru se AMD zaměřilo téměř výhradně na to, aby tuto architekturu adaptovalo pro použití v noteboocích.

Vzorek APU Carrizo
Vzorek APU Carrizo

Excavator má být tedy hlavně úspornější než Steamroller – ve svém prezentačním slajdu AMD udává, že spotřeba (zřejmě při stejném taktu) je až o 40 % lepší. Zlepšená efektivita půjde ruku v ruce i se zmenšením samotného jádra, byť jsme stále na stejném procesu. Excavator má mít určitá architektonická zlepšení, která mají výkon proti Steamrolleru na stejné frekvenci navýšit asi o 5 %. Nic z nich AMD zatím neupřesnilo (i když některé zdroje mluví o zvětšení L1 cache pro data na 32 z 16 KB); zdá se ale, že hlavní roli při zvyšování výkonu CPU bude mít jeho snížená spotřeba. Ta totiž v dané kategorii TDP umožní běžet s vyšší frekvencí. A postupů, které u Carriza spotřebu snižují, se také týká většina informací, které AMD o novém APU nyní uvolnilo.

AMD odhaluje detaily APU Carrizo (ISSCC 2015)

 

HDL

Přední roli bude hrát inovace, kterou AMD avizovalo vlastně již před několika lety, na konferenci Hot Chips 24, kde roku 2012 mluvilo o architektuře Steamroller. Možná jste o ní tudíž už slyšeli, jde o použití knihoven HDL (High Density Libraries). To jsou postupy pro optimalizaci návrhu čipu, které vedou k co možná nejvyšší hustotě tranzistorů a používají se při návrhu GPU.

Vzorek APU Carrizo

Carrizo tak bude mít například kovové vrstvy navržené podobně, jako je tomu u grafických čipů, ale také mnohem větší hustotu tranzistorů v CPU. Jak můžete vidět na slajdu, modul Excavator byl díky návrhu pomocí HDL docela výrazně zmenšen: má být o 23 % menší než Steamroller v Kaveri. Právě díky tomu se také na čip podařilo vměstnat čipovou sadu, která byla u Kaveri externí.

Zmenšení struktur v jádře je přitom ještě větší, neboť L2 cache se HDL netkne a zůstává stejně velká. AMD uvádí jako příklad 35% redukci plochy řídící logiky pro instrukční L1 cache nebo jednotky FMAC ve sdílené FPU. Scheduler FPU se podařilo zmenšit dokonce o 38 %. Velmi důležité je, že tyto „husté“ knihovny HDL, jsou-li použity místo knihoven zaměřených spíše na maximální výkon, také snižují spotřebu. Tím opět otevírají prostor pro navýšení výkonu, jsme-li omezeni hodnotou TDP.

Přesto, že je stále vyráběn na 28nm procesu, je modul Excavator výrazně menší, než Steamroller
Přesto, že je stále vyráběn na 28nm procesu, je modul Excavator výrazně menší, než Steamroller

Platit by to alespoň mělo u mobilních čipů, které běží na nižších frekvencích. Pokud by se takty měly pohybovat výše, přestane optimalizace HDL fungovat a spotřeba se začne zhoršovat. Na grafu ve slajdu můžete vidět, že situace se láme zhruba okolo hodnoty 20 W na jeden modul (tedy 40 W pro čtyřjádrové CPU), kdes se vlastnosti čipu začnou spíše zhoršovat.

A to je možná důvod toho, proč AMD Carrizo vydává pouze v oblasti notebooků – takto optimalizované moduly Excavator jednoduše nejsou moc vhodné pro běh na desktopových frekvencích a hodnotách TDP. Vzhledem k tomu, že část TDP zabírá GPU, by se snad ještě dalo uvažovat o 45–65W desktopovém modelu (což zdá se bylo v plánu). Když už jsme u toho, je možné, že AMD příští rok nakonec desktopové Carrizo přece jen uvede pod označením Bristol Ridge, jde ale zatím jen o nepotvrzené informace.

 

 

Méně žravé GCN

Knihovny HDL jsou vyvinuty pro návrh GPU, takže grafickému jádru jejich aplikace na Carrizo už nic nového přinést nemůže. Nicméně GPU přesto doznalo optimalizací. AMD vyladilo skladbu tranzistorů ve výpočetních jednotkách tak, aby kombinace rychlejších a „hustějších“ tranzistorů podávala optimální kombinaci rychlosti a proudových úniků. Spotřebu způsobenou úniky (leakage) se údajně podařilo v GPU zredukovat o 18 %.

Lepší poměr výkonu a spotřeby by mělo mít též GPU
Lepší poměr výkonu a spotřeby by mělo mít též GPU

Lepší návrh ale zároveň dokázal při stejné spotřebě udělat prostor pro asi 10% navýšení frekvence (nebo snížení spotřeby o 20 % při zachování taktu). Díky tomu bude Carrizo mít prostor pro zvýšení grafického výkonu. Tyto pokroky by měly umožnit použít konfiguraci s 512 stream procesory i u úspornějších modelů, neboť ji nyní lze dostat do spotřeby okolo 20 W, k čemuž prý AMD v generaci Kaveri potřebovalo GPU oříznout na šest CU z osmi (384 shaderů).

Bagr, vrchol evoluce (Bulldozeru)

Za větší výdrží i výkonem

K praktickému snížení spotřeby a výdrže na baterii kromě architektonických změn přispěje také inteligentnější správa napájení. Ta má zřejmě být z velké části ovlivněna tím, co AMD implementovalo v mobilních čipech Beema, respektive Mullins. Turbu by údajně mělo u Carriza fungovat o něco lépe než u Kaveri.

Nové APU by na stejném výrobním procesu mělo být schopné běžet na vyšším taktu díky použití několika triků. Již byla řeč o použití návrhových knihoven HDL, které mimo jiné snižují spotřebu. Další technologií s podobným účinkem je Voltage Adaptive Operation, spočívající v přizpůsobování se aktuálnímu napětí. Tato technika umožní snížit napájecí napětí při zachovaném taktu, nebo na daném napětí naopak dosáhnout vyšší frekvence, aniž by byla ohrožena stabilita. Běžný čip musí totiž mít určitou rezervu, aby při náhlých poklesech (tzv. „voltage droop“) napětí nekleslo pod bezpečnou úroveň. Tím se ale čip okrádá o část dosažitelné frekvence – pokud by totiž nemusel počítat s propady, mohl při stejném nominálním napětí běžet rychleji.

Voltage Adaptive Operation v APU Carrizo
Voltage Adaptive Operation v APU Carrizo

Voltage Adaptive Operation umožňuje sáhnout do této rezervy. Tento systém monitoruje napájení a je schopen velmi rychle odhalit rozvíjející se propad napětí. Propad napětí naštěstí není okamžitý, takže při detekci jeho počátku má čip ještě čas zareagovat, než ho nízké napětí „odstřelí“. Voltage Adaptive Operation při detekci propadu okamžitě sníží frekvenci na konzervativní hodnotu, při které nízké napětí chod neohrozí. Odezva této detekce má být přitom kratší než 1 ns. Díky tomu může čip trvale běžet na mnohem agresivnějším taktu a na bezpečnou frekvenci se přepnout jen tehdy, když je to skutečně nutné, a nikoliv pořád z preventivních důvodů. Mimochodem, tuto technologii AMD prezentovalo již loni – měla být aplikovaná už s architekturou Steamroller a Excavator obsahuje její druhou generaci.

 

AVFS: Power Management dostane víc očí

Související novinkou v Excavatoru je funkce Adaptive Voltage and Frequency Scaling (AVFS). I jejím cílem je dostat z čipu více tam, kde bylo předtím nutno ponechávat bezpečnostní rezervy. V jádře Excavator AMD rozšířilo funkci senzorů původně určených ke sledování spotřeby a teploty částí čipu. Tyto měřící jednotky (v jednom modulu je jich údajně deset, další by ještě mohly být v uncore, GPU a dalších částech) získaly schopnost sledovat také aktuální frekvenci a zejména napětí. Čip má díky tomu lepší přehled o tom, co se v něm děje, a lépe se také může této situaci přizpůsobovat. Výsledkem je, že může běžet bezpečně při nižším napětí nebo vyšší frekvenci, neboť už nepotřebuje tak velké míry tolerance pro anomální chování či výkyvy hodnot. Díky čidlům je dokáže odhalit a přizpůsobit se jim.

Adaptive Voltage and Frequency Scaling v APU Carrizo
Adaptive Voltage and Frequency Scaling v APU Carrizo

AVFS tedy umožní čipu opět vylepšit poměr spotřeby a výkonu, jelikož na dané frekvenci bude stačit o něco nižší napětí. Z grafu vyplývá, že AVFS dokáže při daném TDP posunout provozní takt (a tedy výkon) nahoru možná až o 10–15 %, přičemž toto zlepšení se ještě kombinuje s efektem použití HDL.

 

 

S0i3: lekce od Haswellu

Kromě toho má ovšem Excavator ještě jeden fígl v rukávu. Zatímco triky s škálování frekvence mají pomoci se spotřebou v zátěži, Carrizo dostane také podporu pro nízkospotřebový režim v nečinnosti, nazvaný S0i3. Mělo by jít o něco velmi podobného režimu Active Idle u Haswellu. Tedy stav, kdy má čip spotřebu téměř tak nízkou, jako při režimu spánku (S3), z hlediska systému je ale nadále aktivní, takže není třeba operační systém uspávat. Tím pádem procesor může do tohoto stavu transparentně přejít během vaší nečinnosti a vůbec to nemusíte poznat. V ultramobilních zařízeních to však může mít ohromné dopady na příkon SoC. V S0i3 se většina částí procesoru odpojí od napájení a periférie přejdou do úsporného stavu, díky čemuž se oproti standardnímu běhovému režimu S0 spotřeba může snížit z 1,5 W prý až na hodnoty pod 50 mW.

Carrizo bude umět režim S0i3, podobný stavu Active Idle u Haswellu
Carrizo bude umět režim S0i3, podobný stavu Active Idle u Haswellu

 

Sáhnout si půjde až v létě

Dnešní snůška informací a prezentačních slajdů je jen čímsi jako technologickou ochutnávkou. AMD ještě nová APU nemá připravena pro uvedení na trh a v reálně dostupných počítačích bychom Carrizo měli uvidět až okolo poloviny roku (dle některých neoficiálních pramenů snad v červenci). Pod zámkem také zatím zůstávají takty a specifikace modelů. Přes všechny zlepšení to s nimi AMD asi nebude mít lehké, jelikož musí konkurovat 14nm čipům Broadwell na 28nm procesu. Pro výrobce notebooků by ovšem Carrizo mělo být atraktivnější díky integraci čipsetu, což učiní desky značně jednodušší. To sice nabízí i Intel, tam jde ale o dvoučipové pouzdro, kdežto u AMD o jediný SoC, což by mělo umožnit snížit prodejní cenu.

Vzorek APU Carrizo
Carrizo se svou poručnicí, ředitelkou AMD Lisou Su

Zdroj: AnandTech

Byl pro vás článek přínosný?